Untitled


SUBMITTED BY: bitcoinsachen

DATE: Jan. 13, 2017, 10:42 a.m.

FORMAT: Text only

SIZE: 2.3 kB

HITS: 721

  1. --------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 11:17:49 01/13/2017
  6. -- Design Name:
  7. -- Module Name: C:/Users/andreaskuk/VHDL/Task1/test.vhd
  8. -- Project Name: Task1
  9. -- Target Device:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- VHDL Test Bench Created by ISE for module: truth_table
  14. --
  15. -- Dependencies:
  16. --
  17. -- Revision:
  18. -- Revision 0.01 - File Created
  19. -- Additional Comments:
  20. --
  21. -- Notes:
  22. -- This testbench has been automatically generated using types std_logic and
  23. -- std_logic_vector for the ports of the unit under test. Xilinx recommends
  24. -- that these types always be used for the top-level I/O of a design in order
  25. -- to guarantee that the testbench will bind correctly to the post-implementation
  26. -- simulation model.
  27. --------------------------------------------------------------------------------
  28. LIBRARY ieee;
  29. USE ieee.std_logic_1164.ALL;
  30. -- Uncomment the following library declaration if using
  31. -- arithmetic functions with Signed or Unsigned values
  32. --USE ieee.numeric_std.ALL;
  33. ENTITY test IS
  34. END test;
  35. ARCHITECTURE behavior OF test IS
  36. -- Component Declaration for the Unit Under Test (UUT)
  37. COMPONENT truth_table
  38. PORT(
  39. A : IN std_logic;
  40. B : IN std_logic;
  41. C : IN std_logic;
  42. D : IN std_logic;
  43. O : OUT std_logic
  44. );
  45. END COMPONENT;
  46. --Inputs
  47. signal A : std_logic := '0';
  48. signal B : std_logic := '0';
  49. signal C : std_logic := '0';
  50. signal D : std_logic := '0';
  51. --Outputs
  52. signal O : std_logic;
  53. -- No clocks detected in port list. Replace <clock> below with
  54. -- appropriate port name
  55. -- constant <clock>_period : time := 10 ns;
  56. BEGIN
  57. -- Instantiate the Unit Under Test (UUT)
  58. uut: truth_table PORT MAP (
  59. A => A,
  60. B => B,
  61. C => C,
  62. D => D,
  63. O => O
  64. );
  65. -- Clock process definitions
  66. -- Stimulus process
  67. stim_proc: process
  68. begin
  69. -- hold reset state for 100 ns.
  70. wait for 100 ns;
  71. c <= '1';
  72. wait for 100 ns;
  73. d <= '1';
  74. wait;
  75. end process;
  76. END;

comments powered by Disqus